问答文章1 问答文章501 问答文章1001 问答文章1501 问答文章2001 问答文章2501 问答文章3001 问答文章3501 问答文章4001 问答文章4501 问答文章5001 问答文章5501 问答文章6001 问答文章6501 问答文章7001 问答文章7501 问答文章8001 问答文章8501 问答文章9001 问答文章9501

如何利用Verilog HDL语言实现6位数字动态扫描电路

发布网友 发布时间:2023-07-19 22:24

我来回答

1个回答

热心网友 时间:2024-12-02 15:54

因为是用语言做你的6位数字动态扫描电路,所以你大可不必追求某个器件,某个引脚,因为器件是你自己编,有用的端口做出来,没用的就可以不做,哥们我耗时3个小时帮你做了这个,时间紧凑,只是实现了你的需求,没有更多的修饰,当然想我帮你修饰也可以,条件嘛,面谈! 好了,现在开始给你帖我的做法,对了,我是用vhdl实现的! 并且在quartus II 5.1版本软件下编译成功! 首先是4位锁存器代码:library ieee;
use ieee.std_logic_1164.all;entity reg4 is
port(
din : in std_logic_vector(3 downto 0);
dout: out std_logic_vector(3 downto 0);
ena: in std_logic
);
end reg4;

architecture art of reg4 is
begin
process(ena)
begin
if ena='1' then dout<=din; --ena=1时,置数
else dout<="ZZZZ"; --ena=0时,高阻
end if;
end process;
end art; 这个是6位译码器(其实就是3-8译码,最高2位不用)用来选择锁存器的输出与数码管:library ieee;
use ieee.std_logic_1164.all;entity decoder is
port(
add:in std_logic_vector(2 downto 0);
sel:out std_logic_vector(5 downto 0)
);
end decoder;architecture art of decoder is
begin
sel(5)<='1' when add="110" else '0';
sel(4)<='1' when add="101" else '0';
sel(3)<='1' when add="011" else '0';
sel(2)<='1' when add="010" else '0';
sel(1)<='1' when add="001" else '0';
sel(0)<='1' when add="000" else '0';
end art; 这个是6位计数器,用来提供选址(时钟信号需要50HZ,因为人眼暂留时间临界为25HZ,而我的程序中是二分频后的计数):library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;entity cnt6 is
port(
clk: in std_logic;
cq: out std_logic_vector(2 downto 0)
);
end cnt6;

architecture art of cnt6 is
signal cqi: std_logic_vector(2 downto 0);
begin
process(clk)
begin
if clk 'event and clk='1' then --同步清零
if cqi<"101" then
cqi<=cqi+1;
else
cqi<="000";
end if;
end if;
end process;
end art; 这个是类似与7448的7段译码器,没写那么多控制引脚,因为这个简易版用不到:entity decoder7 is
port(
inp: in std_logic_vector(3 downto 0);
outp:out std_logic_vector(6 downto 0)
);
end decoder7;

architecture art of decoder7 is --因为你需要接共阴极数码管,所以输出高电平驱动
begin
with inp select
outp<="1111110" when "0000",
"0110000" when "0001",
"1101101" when "0010",
"1111001" when "0011",
"0110011" when "0100",
"1011011" when "0101",
"0011111" when "0110",
"1110000" when "0111",
"1111111" when "1000",
"1110011" when "1001",
"ZZZZZZZ" when others;
end art; 接下来是总程序:……不行,毫无保留的给你,你这家伙肯定要赖账,答谢我以后给你! 给你来张原理图,让你更明白点: 请追加分数,要不你实在对不起哥!!
声明声明:本网页内容为用户发布,旨在传播知识,不代表本网认同其观点,若有侵权等问题请及时与本网联系,我们将在第一时间删除处理。E-MAIL:11247931@qq.com
谁能给个单机版的风云之雄霸天下啊?? 求风云雄霸天下PC单机游戏WIN7版 雄霸天下任务指南 开心网001老房子卖了以后家具还有吗? 为什么001开心网买房子组件删除仓库里的东西都没了 请教一下,开心001的开心庄园里面的建材有好多富余的的 除了5元一个卖... 开心网001小号怎么给大号送房子? 开心网001多少级能送别人房子?多少级能接受别人给的房子? 开心网001果实或家具能送人吗 开心网(kaixin001)怎么买外地房子? 居民医保不返钱是怎么回事 帮我看下下面verilog程序,count赋值不进去,显示 &lt;count&gt; does not... 2022年农村低保户的合作医疗怎么还没有返回? 中党过了是预备党员吗 上完党课,考完试,接下来是什么啊。。 幻声和都灵话筒哪个好 老公酱是什么梗 如果团员组织关系介绍信回执联写错了学校,怎么办? 团员组织关系介绍信回执联自己填错了怎么办? 正式推荐团员时介绍些写错了一个字怎么办?有影响吗? 团组织关系介绍信写错了能划掉吗? 转团组织关系介绍信的“XX团委”写错了字,划去,有无影响 2023中国海洋大学成人本科报名时间? 成人高考中国海洋大学好考吗 中国海洋大学成人高考报名入口? 0.5mol/L的NaHCo3溶液的PH值? 《王者荣耀》钟馗钩子距离可变长,代练不会透露的还有哪些小技巧? 拉莫圣廷69周年限量版珍藏红葡萄酒怎么买 2016年暑假会播出那些电视剧? 你在王者荣耀中的最高段位是什么,玩了多久? 电影《战国》中的两名主要人物 电话费开增票如何做账务处理 大功率充电器伤电池吗? 充电器输入220V50Hz200W输出59V2.5A可以给48V20A电动车电瓶充电吗 升华与补偿 ...怎么关注TV版的 所以想补一补落下的 求高手 卧室放什么花对人体好(盘点最适合放卧室的植物) 为什么恩格尔系数会随收入增长而递减? 二战期间德国有多少犹太人遇害? 北京灵活就业退休工资最低多少 玫瑰糠疹会在脚上手上起吗 在第二次世界大战中总公死了多少犹太人?? 德国 二战死亡人口中犹太人怎么计算 断桥铝门窗怎么样 十大断桥铝门窗品牌最全 北京2023年退休金最低领多少钱? 玫瑰糠疹属于性病么? 信用担保需要什么 请问我在官网下载了原神后打开就只停留在这个界面是出了什么问题呢... 请问一下我在原神官网下了pc版后就一直在这个窗口,请问是出了什么问题... 每天一句心灵鸡汤经典语录