问答文章1 问答文章501 问答文章1001 问答文章1501 问答文章2001 问答文章2501 问答文章3001 问答文章3501 问答文章4001 问答文章4501 问答文章5001 问答文章5501 问答文章6001 问答文章6501 问答文章7001 问答文章7501 问答文章8001 问答文章8501 问答文章9001 问答文章9501

用Verilog语言设计一个3-8译码器~(要求分别用case语句和if_else语句各写一份~)

发布网友 发布时间:2022-04-30 02:18

我来回答

3个回答

热心网友 时间:2023-10-05 10:45

mole decoder38(

input [2:0]code,

output reg[7:0]result

);

always@(*)

begin

case(code)

3'b000: result = 8'h01;

3'b001: result = 8'h02;

3'b010: result = 8'h04;

3'b011: result = 8'h08;

3'b100: result = 8'h10;

3'b101: result = 8'h20;

3'b110: result = 8'h40;

3'b111: result = 8'h80;

endcase

end

endmole

mole decoder38(

input [2:0]code,

output reg[7:0]result

);

always@(*)

begin

if(code[2])

if(code[1])

if(code[0])

result = 8'h80;

else

result = 8'h40;

else

if(code[0])

result = 8'h20;

else

result = 8'h10;

else

else

if(code[1])

if(code[0])

result = 8'h08;

else

result = 8'h04;

else

if(code[0])

result = 8'h02;

else

result = 8'h01;

else

end

endmole

工作原理

使用Verilog描述硬件的基本设计单元是模块(mole)。构建复杂的电子电路,主要是通过模块的相互连接调用来实现的。模块被包含在关键字mole、endmole之内。实际的电路元件。Verilog中的模块类似C语言中的函数,它能够提供输入、输出端口,可以实例调用其他模块,也可以被其他模块实例调用。模块中可以包括组合逻辑部分、过程时序部分。

以上内容参考:百度百科-Verilog HDL

热心网友 时间:2023-10-05 10:45

mole decoder38(
input [2:0]code,
output reg[7:0]result
);

always@(*)
begin
case(code)
3'b000: result = 8'h01;
3'b001: result = 8'h02;
3'b010: result = 8'h04;
3'b011: result = 8'h08;
3'b100: result = 8'h10;
3'b101: result = 8'h20;
3'b110: result = 8'h40;
3'b111: result = 8'h80;
endcase
end
endmole

mole decoder38(
input [2:0]code,
output reg[7:0]result
);

always@(*)
begin
if(code[2])
if(code[1])
if(code[0])
result = 8'h80;
else
result = 8'h40;
else
if(code[0])
result = 8'h20;
else
result = 8'h10;
else
else
if(code[1])
if(code[0])
result = 8'h08;
else
result = 8'h04;
else
if(code[0])
result = 8'h02;
else
result = 8'h01;
else

end
endmole

热心网友 时间:2023-10-05 10:46

楼上回答已经属于是标准答案了~
用Verilog语言设计一个3-8译码器~(要求分别用case语句和if_else语句...

else if(code[0])result = 8'h20;else result = 8'h10;else else if(code[1])if(code[0])result = 8'h08;else result = 8'h04;else if(code[0])result = 8'h02;else result = 8'h01;else end endmodule 工作原理 使用Verilog描述硬件的基本设计单元是模块(module)。构建复杂的电子...

...器~(要求分别用case语句和if_case语句各写一份~)

result = 8'h08;else result = 8'h04;else if(code[0])result = 8'h02;else result = 8'h01;else end endmodule

Verilog设计与逻辑综合实例解析(case&if-else)

通常在以下场景中选择多路if语句:综合优先级编码逻辑,有多个变量控制语句流程。使用case语句比if-else语句更具可读性,特别是用于状态机时。在case结构中,如果未指定所有可能的case,并且缺少default语句,则会推断出锁存器。 同样,对于if-else结构,如果缺少最后的else语句,也会推断出锁存器。如何避免...

4、设计一个函数,当给定一个整数后,能得到该数的补码(应考虑该整数是正...

(3)、原程序中while语句中的y>=detax,分别换成y>detax,y=detax,y<detax,y<=detax,观察程序运行将会有什么变化。假如不知道机器内的程序中的各语句实际上是什么,分别输入什么样的detax来测试出while语句的循环条件写错了。(4)、把原程序中while语句之前的y=1/x语句去掉,观察程序的运行将会发生什么样的变化。...

VFP中,if语句 do case语句 等区别

if 和do case都是条件型语句:IF是只有两种情况下使用的,就是,如果条件成立,怎么处理,否则,怎么处理,当然,里面还可以再有嵌套。而DO CASE则表示,当什么情况下,怎么处理;当什么情况下怎么处理;。。。可以执行的是多条件,里面也可以再加嵌套。 本回答由电脑网络分类达人 郭强推荐 举报| 答案纠错 | 评论 12 0 ...

如何用VB做一个简单计算器 要求实现加减乘除乘方开方功能

如何用VB做一个简单计算器 要求实现加减乘除乘方开方功能 如何用VB做一个简单计算器要求实现加减乘除乘方开方功能用0~9按钮实现类似WINDOWS系统自带的计算器最好带图最好有声明代码太多看着晃~... 如何用VB做一个简单计算器 要求实现加减乘除乘方开方功能 用0~9按钮实现 类似WINDOWS系统自带的计算器 最好带图最...

C语言课程设计~~~ 要求编写一段程序,题目是《校际运动会管理系统...

if(ch=='n'||ch=='N')break;} fclose(fp);} void xianshi(){ float s;int n;if((fp=fopen("s_score.txt","rb+"))==NULL){ printf("Cannot reading this file.\n");exit(0);} for(i=0;i<=1000;i++){ if((fread(&info[i],sizeof(info[i]),1,fp))!=1)break;} ...

java开发流程?

else在这里就体现了它的作用了。 格式:if(前提条件)语句1;else语句2; 说明:满足前提条件(true)则执行语句1,否则执行语句2. switch语句 switch语句是一种具有处理多分支能力的流程控制语句。 switch(表达式){ case值1:语句1; case值12:语句2; …… [default:语句n;] } 其中,要注意的一点是表达式的值必须是...

求C语言程序设计习题集与上机指导-第三版 (及答案)...

4.10编程序,用getchar函数读入两个字符给c1、c2,然后分别用函数和函数输出这两个字符。并思考以下问题:(1)变量c1、c2应定义为字符型或整形?抑二者皆可?(2)要求输出c1和c2值的ASCII码,应如何处理?用putchar函数还是printf函数?(3)整形变量与字符变量是否在任何情况下都可以互相代替?如:char c1,c2;与int c1,...

...判断该年该月有多少天。要求:使用if...else,swith

include <stdio.h> int main(void){ int i ,j , k;//表示年,月,日 printf("请输入年份以空格隔开:");scanf("%d",&i);printf("请输入月份:");scanf("%d",&j);switch(j){case 1:case 3:case 5:case 7:case 8:case 10:case 12:k = 31;break;case 2 :if(i%4==0 && i%...

用vhdl设计一个38译码器 用74ls138译码器设计全加器 用译码器74hc138设计全加器 用38译码器设计全减器 用38译码器设计全加器 试用3线8线译码器设计全加器 用译码器74138实现全加器 译码器设计 两位译码器设计
声明声明:本网页内容为用户发布,旨在传播知识,不代表本网认同其观点,若有侵权等问题请及时与本网联系,我们将在第一时间删除处理。E-MAIL:11247931@qq.com
婷美矿物泉补水精华的滋润度怎么样? 婷美矿物泉补水睡眠面膜的保质期 求一部美国地理/灾难/科幻片名,明珠台有播过 日本有哪些抗皱效果显著的眼霜值得入手? ...回到中国找寻这位中国母亲的电影名字叫什么。谢谢 校园卡浏览不良网站会受到什么惩罚? 校园卡会监控浏览记录吗? 济南现在治疗慢性鼻炎的方法有哪些?最好的是什么?? 《结婚后我们恋爱吧!》最新txt全集下载 搞笑谐音! 富士康 shzbg 加班情况 郑州富士康SHZBG事业群,精密刀具相关问题 成都富士康SHZBG事业群下的自动化设备开发是做什么的?听说很累?具体是什么情况 忘记qq独立密码怎么办 深圳富士康SHZBG里面有哪些岗位是跟工业机器人相关的? 富士康SHZBG怎么样 富士康SHZBG的~组装生技~一职是做什么的呢? 富士康shzbg事业群ilvg事业处是做什么的? 富士康*人最大的官:SHZBG李军旗资深副总经理,资位:师17,陆干中 2011深圳富士康菁干班SHZBG事业群是做什么工作的 富士康 SHZBG WWBU是做什么的?现在分到这个部门不了解? 济原富士康Shzbg主要做啥工作的 龙华富士康shzbg鸿超准女的进去都做些什么? 富士康c次集团有哪些事业群? 富士康WLBG和SHZBG是两个什么部门? 怎么样有效、快速的去除颈纹。我想知道可以真正去除掉吗?先谢谢各位了... 深圳富士康的SHZBG是做什么工作的?全体的地址在哪里? 富士康里面的SHZBG部门是什么意思?是做什么的? 富士康里面的SHZBG部门是什么意思? 新主播没有粉丝流量怎么可以做带货? 用Verilog语言设计一个3-8译码器~(要求分别用case语句和if_case语句各写一份~) VHDL 语言的38译码器和4选一数据选择器的CASE语句和IF语句的程序怎么编啊? 用IF语句编写3-8译码器 谢了 快手颜来化妆品怎么样? Verilog用if和case语句分别写2-4线译码器代码及测试代码 颜来是不是正品? VFP中,if语句 do case语句 等区别 颜来护肤品怎么样? Verilog语言中如何用“case”何“if”语句分别用两方法设计4—2译码器 SVN服务器配置,怎样在SVN服务器中设置多个管理员在客户端设置为开发人员开放和关闭访问权限 分别用IF语句、CASE语句设计一个四-十六译码器。写出VHDL源程序! 佛赐颜化妆品正规吗 正规的化妆产品是不是都需要在药监局有备案 跪求,用VHDL语言编写3-8译码器 黛莱颜化妆品是正规品牌吗 小宁在探究“凸透镜成像规律”实验中,对课本的实验装置进行了改进.(1)他不用蜡烛,而用由几个发光二 颜来美护肤品除了百度哪还可以查到? 38译码器VHDL语言用数据流描述方式写 凸透镜成像的规律实验注意事项 怎么辨别颜正堂护肤品是正规产品?