二—十进制转换器
发布网友
发布时间:2022-04-30 19:23
我来回答
共5个回答
热心网友
时间:2022-06-30 04:32
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_ensigned.all;
entity jishu is
port(en,reset,clk:in std_logic;//en使能,reset复位
da1,da2:out std_logic_vector(3 downto 0));
end entity jishu;
architecture art of jishu is
signal s1,s2:std_logic_vector(3 downto 0);
begin
process(reset,clk)is
begin
if reset='1' then
s1<=(others=>'0');
s2<=(others=>'0');
elsif clk'event then clk='1' then
if en='1' then
if s1="1001" then
if s2="1001"then
s1<="0000";s2<="0000";
else s2<=s2+1;s1<="0000";
end if;
else
s1<=s1+1;
end if;
end if;
end process;
da1<=s1;//十进制低位
da2<=s2; //十进制高位
da1,da2 这是用二进制表示的,还要将这转换成对应数字代码.用CASE语句就可搞定
热心网友
时间:2022-06-30 04:32
可以找度娘,解决
热心网友
时间:2022-06-30 04:33
我的喵呀,我进入了一个大佬的世界呀
热心网友
时间:2022-06-30 04:34
🍎
热心网友
时间:2022-06-30 04:34
不知道啊