问答文章1 问答文章501 问答文章1001 问答文章1501 问答文章2001 问答文章2501 问答文章3001 问答文章3501 问答文章4001 问答文章4501 问答文章5001 问答文章5501 问答文章6001 问答文章6501 问答文章7001 问答文章7501 问答文章8001 问答文章8501 问答文章9001 问答文章9501

设计一个时序逻辑电路4位同步(异步)计数器,选择其中一个用VHDL完成设计

发布网友 发布时间:2022-05-06 16:47

我来回答

2个回答

热心网友 时间:2023-10-12 13:14

1.编辑输入VHDL程序并设为当前工程文件

设:clr为系统时钟,clr为异步清零控制端,load为同步置数控制端,date为同步置数数据输入端口,count为计数器输出端口

实体名为:add4b.VHD

2.编译设计文件并予仿真验证

VHDL程序:

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_unsigned.all;

ENTITY add4b IS

PORT(

date:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

load:IN STD_LOGIC;

clr:IN STD_LOGIC;

clk:IN STD_LOGIC;

count:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)

);

END ENTITY add4b;

ARCHITECTURE upcount OF add4b IS

BEGIN

upcount:PROCESS(clk,clr)

BEGIN

  IF clr='1' THEN count<=x"0";

  ELSIF rising_edge(clk) THEN

IF load='1' THEN count<=date;

ELSE count<= count +1;

END IF;

     END IF;

  END PROCESS upcount;

END upcount;

3.波形仿真

热心网友 时间:2023-10-12 13:15

牛 比我发问题还早 嘿嘿 O(∩_∩)O哈哈~

热心网友 时间:2023-10-12 13:14

1.编辑输入VHDL程序并设为当前工程文件

设:clr为系统时钟,clr为异步清零控制端,load为同步置数控制端,date为同步置数数据输入端口,count为计数器输出端口

实体名为:add4b.VHD

2.编译设计文件并予仿真验证

VHDL程序:

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_unsigned.all;

ENTITY add4b IS

PORT(

date:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

load:IN STD_LOGIC;

clr:IN STD_LOGIC;

clk:IN STD_LOGIC;

count:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)

);

END ENTITY add4b;

ARCHITECTURE upcount OF add4b IS

BEGIN

upcount:PROCESS(clk,clr)

BEGIN

  IF clr='1' THEN count<=x"0";

  ELSIF rising_edge(clk) THEN

IF load='1' THEN count<=date;

ELSE count<= count +1;

END IF;

     END IF;

  END PROCESS upcount;

END upcount;

3.波形仿真

热心网友 时间:2023-10-12 13:15

牛 比我发问题还早 嘿嘿 O(∩_∩)O哈哈~
声明声明:本网页内容为用户发布,旨在传播知识,不代表本网认同其观点,若有侵权等问题请及时与本网联系,我们将在第一时间删除处理。E-MAIL:11247931@qq.com
探歌自动启停怎么关闭不了怎么办 探歌停车自动熄火 一汽大众sagitar自动档换档位的时候震动什么原因 大众速滕挂档车身会抖动 大众速腾变速箱挡杆抖动是哪里的问题? 我的爸爸欠了一千多块,妈妈才发现因此很生气,打架怎么办?我好害怕... 我的情况是属于心理障碍吗? 我的爸爸特别喜欢强势,导致我现在非常害怕爸爸,怎么办呢? 我怕我的爸爸妈妈(亲人)死 歌唱祖国的合唱怎么排练,怎么分声部,怎么轮唱重唱领唱比较合理 柯南最后一集是什么?他有没有边会新一? 时序电路的时序电路的设计 分析同步时序逻辑电路的功能,需要详细步骤? 分析下图同步时序逻辑电路的功能,写出电路状态方程和输出方程,画出状态图并说明该电路是否能自启动? 设计同步时序逻辑电路的一般步骤有哪些 同步时序逻辑电路的设计步骤是什么? 同步时序逻辑电路设计 一升水和一升酒精混合在一起是几升? 酒精能与水混合吗? websphere集群配置安装好了之后,运行部署的企业程序,如何查看各个节点的负载量, 用测量密度来鉴别一枚戒指是不是纯金的.这种鉴别方法(  )A.一定可靠B.不一定可靠C.一定不可靠D. 我们要鉴别金戒指是否是纯金的,可依据的原理是 iphone6 wifi模块虚焊怎么维修 手机进水了wifi模块坏了,有换过的没 苹果4s蓝牙搜索不到但是wifi能用是不是模块虚焊 wifi模块339s0154是高温还是低温模块 如何判断wifi模块损坏与否 和耐高温wifi模块哪个好 wifi模块是不是虚焊或者坏掉了 女性电商类用什么ppt 有两台电脑A和B,分别装了was和ihs做成了集群。现在访问A的ip 192.168.0.1 和访问B的ip 192.168.0.2都能 同步时序逻辑电路设计 挂起现象怎样解决 正式的眼睛医学验光,需要40分钟+复诊?这种验光价格是多少? 八.简答题(4分) 时序逻辑电路的特点是什么?简叙分析同步时序逻辑电路的方法和步骤 那个眼镜店可以验光40分钟左右? 眼睛的医学验光,需要40分钟+复诊?这种验光价格是多少? 数字逻辑的同步时序电路原理是什么? 散瞳验光要多久?多久才能恢复? 数字逻辑 同步时序逻辑电路 关于配镜验光,急! 验光前注意事项 散瞳验光配镜需要多长时间,当天能完成吗 18岁远视散瞳验光多久 上海哪里配眼镜专业? 你好 我想问下五官科的验光的事 快速散瞳验光,多长时间复验配镜最好 领军眼镜配眼镜需要多长时间? 配一副眼镜大概要多少时间? CAD中老路面积怎么计算的啊?有哪位大神指点一下。。 火炬摩托车用火花塞型号 海马s5的火花塞型号是什么